Stap 8 FPGA Sequencer en Synthesizer


In deze tutorial gaan we graag een stap 8 synthesizer voor onze FPGA-board. We zullen werken met de Digilient Nexys 2 board maar onze code kan worden gewijzigd voor andere boards. Dit project werd gebouwd door Tran van Lincoln en Joseph Coplon voor ons laatste project van onze CPE-133-klasse op California Polytechnic State University, onderwezen door Dr. Andrew Danowitz.

Een stap 8 sequencer is een apparaat dat een sound-track op basis van de positie van de schakelopties speelt. Op onze Raad van Bestuur zijn er 8 switches. De sequencer is een tijdlijn die van links naar rechts op de rij van switches loopt. Als de schakelaar is, zal een specifieke notitie worden afgespeeld wanneer de tijd is verstreken. Druk op de knop verandert de frequentie voor de wissels en kruisingen. Het eindresultaat zou een herhalende track 8 notities met frequenties van de Gebruikerskeuze. We hebben een heleboel onze duel op de Toon Matrix, een online stap sequencer gebaseerd. Zijn moeilijk te begrijpen onze spel zonder te spelen met een volledige schaal een eerst dus we raden rommelen met de Tone-Matrix. Zijn vrij gemakkelijk te verliezen veel tijd in zulk een eenvoudige spel. Wij wilden niet van dit hele project te zijn te ingewikkeld, dus we besloten te houden met de ingangen gevonden op het bord, maar uitbreiden om meer notities met externe knoppen of meer LED's is niet al te moeilijk.

In dit Instructable gaan we eerst over de componenten, het ontwerp van de logica en hoe alles met elkaar is verbonden. Dan zullen we praten een beetje over het aansluiten van het externe apparaat (speaker) en hoe het spel te spelen.

Vereiste apparatuur:

  • Nexys 2 board of gelijkwaardige FPGA-board
  • Spreker, bij voorkeur monotone zaken eenvoudig te houden
  • Computer programma's uploaden naar de FPGA-board

Gerelateerde Artikelen

Multitonal stap Sequencer & Sonic Art Rumoer Verrekt. E.Z. mijn eigen beginner-code.

Multitonal stap Sequencer & Sonic Art Rumoer Verrekt. E.Z. mijn eigen beginner-code.

Mijn allereerste arduino thing.simply begrepen en vrij jargon gratis .drawing tonen de theorie van de bedrading, code basisprincipes uitgelegd zo goed als ik kanmodus 1 is 33variable meertonig ruis machine, vol op chaos.modus 2 is op basis van codepa
Leren Verilog: Een korte Tutorial-serie over digitale elektronica ontwerp met FPGA en Verilog HDL

Leren Verilog: Een korte Tutorial-serie over digitale elektronica ontwerp met FPGA en Verilog HDL

Deze korte reeks van semi-korte lessen over Verilog is bedoeld als een inleiding in de taal en hopelijk lezers stimuleren om te verder kijken naar FPGA ontwerp.Deze tutorials neem aan dat u bekend bent met eenvoudige logische circuits en logica verge
NE555 timer synthesizer

NE555 timer synthesizer

Hallo, zie ik je wan't te weten hoe maak je een NE555 timer op basis van 3 octave synthesizer die past in een trommeltje. Nou, kwam u aan het juiste adres :)Voor dit project zal u het volgende nodig:1. 36 voelbare knoppen (knoppen van de zelfde soort
Vloeibare Spectrum Analyser apparatuur

Vloeibare Spectrum Analyser apparatuur

Deze zelfgemaakte instrument is definiëren, wat vloeistof is binnen in de reageerbuis.Real-time meting mogelijkheden voor gevarieerd vloeistoffen kwalitatieve analyse...Hoe het werkt?We verschuiven de proton magnetische spin met resonantradiofrequent
Andere toepassingen voor instrumenten van de rockband

Andere toepassingen voor instrumenten van de rockband

zo ooit dacht, man die ik wil worden zoals deze jongens. Ik wil mijn Rock Band drums als echte drums spelen. Ik wil meer van mijn favoriete liedjes zingen! Nou, nu je kunt. Vandaag, zal u Ontdek over sommige freeware programma's die u misschien niet
Bouwen van een synthetische neuraal netwerk

Bouwen van een synthetische neuraal netwerk

In het begin van dit jaar klaar (2015) ik met bouwen en testen van een enkel asynchrone digitale neuron. Ik heb nu klaar met het opbouwen van een netwerk van 36 van hen. De meeste van dit werk is geweest bij de opbouw van de hardware ondersteuning vo
Praten weerstand Calculator

Praten weerstand Calculator

zelfs op 40, ik nog steeds denk van mezelf als een jonge kerel, maar mijn ogen... niet zo veel meer.  Uit mijn elektronische Microscoop naar mijn Aladdin Rainbow lezing machine, heb ik genomen elke stap om ervoor te zorgen dat de visie geen probleem
Knippert de LED's op een Zedboard met behulp van Bluespec en Connectal

Knippert de LED's op een Zedboard met behulp van Bluespec en Connectal

In deze tutorial zullen we compileren en uitvoeren van een Connectal-project op uw Zedboard. Hoewel vele van deze stappen opnieuw vallen, is het raadzaam dat u probeert te bouwen en simuleren van een project, zoals wordt weergegeven in het bouwen en
Hoe kiest u de software te gebruiken met een bord Digilent

Hoe kiest u de software te gebruiken met een bord Digilent

Digilent is een bedrijf dat hardware voor engineering studenten en hobbyisten maakt. Zodat u een bord bestelt, het komt in de mail, en vraag je je af, moet welke software ik gebruiken mijn Digilent board. Nou ik heb gemaakt een handige stroomschema o
EL Wire Driver

EL Wire Driver

dit is mijn zelfstandig en programmeur el wire sequencer. Ik ben van plan om het te gebruiken in vele projecten, maar het is op dit moment omhoog mijn kerstboom verlichting.Elektronica:EL SequencerEL-omvormerRoterende Potentiometer - 4.7 k Ohm, linea
Enzym genetica

Enzym genetica

InleidingIn biologisch onderzoek zijn er verschillende gelegenheden waarin genetische vergelijkingen nodig zijn.  Bijvoorbeeld, er zijn tijden wanneer er niet veel informatie over een specifieke organisme of een enzym dat is van belang voor de onderz
Free wiel omgekeerde slinger Control

Free wiel omgekeerde slinger Control

Dit project werd gebaseerd off van het Project van de Cubli van de ETH Zürich. De Cubli is een kubus met drie reactie wielen waarmee het saldo van de x, y en z vlakken van de kubus. Hierdoor kunnen de kubus aan het evenwicht zich op een rand of op ee
MFOS! 6 stap sequencer voorpaneel en pcb

MFOS! 6 stap sequencer voorpaneel en pcb

Gelet afschrikken door de hoeveelheid bedrading nodig tot het einde van de MFOS 16-stepsequencer met het Variclock bord, besloten heb ik om een PCB voor het voorpaneel! Als het een volledige rij van eurorack meet kon ik niet etch dit thuis dus ging i
16 stap Sequencer (+/-9V tot +/-15V)

16 stap Sequencer (+/-9V tot +/-15V)

Dit is een intermediair tot geavanceerde project en ik kan het niet aanbevelen als een eerste project als u gewoon aan de slag in synths of elektronica. Alleen het circuit en enige uitleg worden hier getoond. Een heleboel projectervaring gebouw, prob