Stap 13: Beperkingen toevoegen
21. Vouw de map "Beperkingen" onder het tabblad "bronnen". Vlak tikken en uitgezocht "Toevoegen bronnen" (afbeelding 13)
22. Selecteer "Toevoegen of maken beperkingen" en druk op volgende.
23. Druk op "Bestanden toevoegen" en navigeer naar het bestand "Nexys4_Master.xdc" is opgeslagen. Als u dit bestand nodig hebt, download het van http://www.digilentinc.com/Products/Detail.cfm?NavPath=2, 400, 1184 & Prod = NEXYS4
24. Druk op OK en voltooien, zorg ervoor dat het het bestand te kopiëren naar uw lokaal project is ingeschakeld.
25. het openen van het bestand van de beperkingen, en uncomment lijnen: 8,9,10, 59,60, 194 en 195
26. het wijzigen van de poortnamen in die eigenschappen overeenkomen met de poortnamen in uw blokdiagram
set_property PACKAGE_PIN E3 [get_ports clock_rtl] set_property IOSTANDARD LVCMOS33 [get_ports clock_rtl] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clock_rtl]
set_property PACKAGE_PIN P4 [get_ports reset_rtl_0 ] set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl_0 ]
set_property PACKAGE_PIN E16 [get_ports reset_rtl] set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl]
27. Zorg ervoor dat u de bijgewerkte .xdc opslaan (druk op Ctrl-S)